Follow
Cheng Zhuo
Cheng Zhuo
Verified email at zju.edu.cn
Title
Cited by
Cited by
Year
The ISPD-2012 discrete cell sizing contest and benchmark suite
MM Ozdal, C Amin, A Ayupov, S Burns, G Wilke, C Zhuo
Proceedings of the 2012 ACM international symposium on International …, 2012
1112012
Spatio-temporal deformable convolution for compressed video quality enhancement
J Deng, L Wang, S Pu, C Zhuo
Proceedings of the AAAI conference on artificial intelligence 34 (07), 10696 …, 2020
1102020
FeCAM: A universal compact digital and analog content addressable memory using ferroelectric
X Yin, C Li, Q Huang, L Zhang, M Niemier, XS Hu, C Zhuo, K Ni
IEEE Transactions on Electron Devices 67 (7), 2785-2792, 2020
962020
Process variation and temperature-aware reliability management
C Zhuo, D Sylvester, D Blaauw
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010 …, 2010
842010
Noise-aware DVFS for efficient transitions on battery-powered IoT devices
C Zhuo, S Luo, H Gan, J Hu, Z Shi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
812019
On the efficacy of through-silicon-via inductors
UR Tida, R Yang, C Zhuo, Y Shi
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (7 …, 2015
722015
A scalable design of multi-bit ferroelectric content addressable memory for data-centric computing
C Li, F Müller, T Ali, R Olivo, M Imani, S Deng, C Zhuo, T Kämpfe, X Yin, ...
2020 IEEE International Electron Devices Meeting (IEDM), 29.3. 1-29.3. 4, 2020
652020
An improved benchmark suite for the ISPD-2013 discrete cell sizing contest
MM Ozdal, C Amin, A Ayupov, SM Burns, GR Wilke, C Zhuo
Proceedings of the 2013 ACM International symposium on Physical Design, 168-170, 2013
612013
Power grid analysis and optimization using algebraic multigrid
C Zhuo, J Hu, M Zhao, K Chen
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2008
612008
Ms-nas: Multi-scale neural architecture search for medical image segmentation
X Yan, W Jiang, Y Shi, C Zhuo
Medical Image Computing and Computer Assisted Intervention–MICCAI 2020: 23rd …, 2020
552020
From layout to system: Early stage power delivery and architecture co-exploration
C Zhuo, K Unda, Y Shi, WK Shih
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
542018
Novel Through-Silicon-Via Inductor-Based On-Chip DC-DC Converter Designs in 3D ICs
UR Tida, C Zhuo, Y Shi
ACM Journal on Emerging Technologies in Computing Systems (JETC) 11 (2), 16, 2014
502014
RCoNet: Deformable mutual information maximization and high-order uncertainty-aware learning for robust COVID-19 detection
S Dong, Q Yang, Y Fu, M Tian, C Zhuo
IEEE Transactions on Neural Networks and Learning Systems 32 (8), 3401-3411, 2021
432021
When single event upset meets deep neural networks: Observations, explorations, and remedies
Z Yan, Y Shi, W Liao, M Hashimoto, X Zhou, C Zhuo
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 163-168, 2020
412020
Senputing: An ultra-low-power always-on vision perception chip featuring the deep fusion of sensing and computing
H Xu, N Lin, L Luo, Q Wei, R Wang, C Zhuo, X Yin, F Qiao, H Yang
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (1), 232-243, 2021
392021
Energy-efficient real-time UAV object detection on embedded platforms
J Deng, Z Shi, C Zhuo
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
382019
Through-silicon-via inductor: Is it real or just a fantasy
UR Tida, C Zhuo, Y Shi
Design Automation Conference (ASP-DAC), 2014 19th Asia and South Pacific …, 2014
382014
Modeling, optimization and control of rotary traveling-wave oscillator
C Zhuo, H Zhang, R Samanta, J Hu, K Chen
2007 IEEE/ACM International Conference on Computer-Aided Design, 476-480, 2007
342007
Countering variations and thermal effects for accurate optical neural networks
Y Zhu, GL Zhang, B Li, X Yin, C Zhuo, H Gu, TY Ho, U Schlichtmann
Proceedings of the 39th International Conference on Computer-Aided Design, 1-7, 2020
332020
Eva-cim: A system-level performance and energy evaluation framework for computing-in-memory architectures
D Gao, D Reis, XS Hu, C Zhuo
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
322020
The system can't perform the operation now. Try again later.
Articles 1–20