Follow
Henk Corporaal
Title
Cited by
Cited by
Year
Memory-centric accelerator design for convolutional neural networks
M Peemen, AAA Setio, B Mesman, H Corporaal
2013 IEEE 31st international conference on computer design (ICCD), 13-19, 2013
4682013
Microprocessor Architectures: from VLIW to TTA
H Corporaal
John Wiley & Sons, Inc., 1997
4121997
Memristor based computation-in-memory architecture for data-intensive applications
S Hamdioui, L Xie, HA Du Nguyen, M Taouil, K Bertels, H Corporaal, ...
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2015
2302015
Multiprocessor resource allocation for throughput-constrained synchronous dataflow graphs
S Stuijk, T Basten, MCW Geilen, H Corporaal
Proceedings of the 44th annual Design Automation Conference, 777-782, 2007
2222007
System-scenario-based design of dynamic embedded systems
SV Gheorghita, M Palkovic, J Hamers, A Vandecappelle, S Mamagkakis, ...
ACM Transactions on Design Automation of Electronic Systems (TODAES) 14 (1 …, 2009
2122009
Designing domain-specific processors
M Arnold, H Corporaal
Proceedings of the ninth international symposium on Hardware/software …, 2001
1912001
A detailed GPU cache model based on reuse distance theory
C Nugteren, GJ Van den Braak, H Corporaal, H Bal
2014 IEEE 20th International Symposium on High Performance Computer …, 2014
1432014
Memristor for computing: Myth or reality?
S Hamdioui, S Kvatinsky, G Cauwenberghs, L Xie, N Wald, S Joshi, ...
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
1362017
MOVE: A framework for high-performance processor design
H Corporaal, H Mulder
Proceedings of the 1991 ACM/IEEE conference on Supercomputing, 692-701, 1991
1351991
Coarse grained reconfigurable architectures in the past 25 years: Overview and classification
M Wijtvliet, L Waeijen, H Corporaal
2016 International Conference on Embedded Computer Systems: Architectures …, 2016
1192016
An ultra-low-energy multi-standard JPEG co-processor in 65 nm CMOS with sub/near threshold supply voltage
Y Pu, JP de Gyvez, H Corporaal, Y Ha
IEEE Journal of Solid-State Circuits 45 (3), 668-680, 2010
1142010
Transport-triggering vs. operation-triggering
J Hoogerbrugge, H Corporaal
International Conference on Compiler Construction, 435-449, 1994
1091994
Near-memory computing: Past, present, and future
G Singh, L Chelini, S Corda, AJ Awan, S Stuijk, R Jordans, H Corporaal, ...
Microprocessors and Microsystems 71, 102868, 2019
1082019
Napel: Near-memory computing application performance prediction via ensemble learning
G Singh, J Gómez-Luna, G Mariani, GF Oliveira, S Corda, S Stuijk, ...
Proceedings of the 56th annual design automation conference 2019, 1-6, 2019
1052019
A review of near-memory computing architectures: Opportunities and challenges
G Singh, L Chelini, S Corda, AJ Awan, S Stuijk, R Jordans, H Corporaal, ...
2018 21st Euromicro Conference on Digital System Design (DSD), 608-617, 2018
1042018
An FPGA design flow for reconfigurable network-based multi-processor systems on chip
A Kumar, A Hansson, J Huisken, H Corporaal
2007 Design, Automation & Test in Europe Conference & Exhibition, 1-6, 2007
1032007
Fast multidimension multichoice knapsack heuristic for mp-soc runtime management
C Ykman-Couvreur, V Nollet, F Catthoor, H Corporaal
ACM Transactions on Embedded Computing Systems (TECS) 10 (3), 1-16, 2011
1012011
Adaptive and transparent cache bypassing for GPUs
A Li, GJ van den Braak, A Kumar, H Corporaal
Proceedings of the International Conference for High Performance Computing …, 2015
942015
Partitioned register file for TTAs
J Janssen, H Corporaal
Proceedings of the 28th annual international symposium on Microarchitecture …, 1995
931995
Locality-aware CTA clustering for modern GPUs
A Li, SL Song, W Liu, X Liu, A Kumar, H Corporaal
ACM SIGARCH Computer Architecture News 45 (1), 297-311, 2017
882017
The system can't perform the operation now. Try again later.
Articles 1–20