Follow
Yuxuan Huang
Title
Cited by
Cited by
Year
15.2 A 2.75-to-75.9 TOPS/W computing-in-memory NN processor supporting set-associate block-wise zero skipping and ping-pong CIM with simultaneous computation and weight updating
J Yue, X Feng, Y He, Y Huang, Y Wang, Z Yuan, M Zhan, J Liu, JW Su, ...
2021 IEEE International Solid-State Circuits Conference (ISSCC) 64, 238-240, 2021
1142021
Dynamic channel modeling and OFDM system analysis for capacitive coupling body channel communication
W Sun, J Zhao, Y Huang, Y Sun, H Yang, Y Liu
IEEE Transactions on Biomedical Circuits and Systems 13 (4), 735-745, 2019
112019
An RRAM-based digital computing-in-memory macro with dynamic voltage sense amplifier and sparse-aware approximate adder tree
Y He, J Yue, X Feng, Y Huang, H Jia, J Wang, L Zhang, W Sun, H Yang, ...
IEEE Transactions on Circuits and Systems II: Express Briefs 70 (2), 416-420, 2022
102022
A 4-Mbps 41-pJ/bit on-off keying transceiver for body-channel communication with enhanced auto loss compensation technique
J Zhao, J Mao, W Sun, Y Huang, Y Yang, H Yang, Y Liu
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC), 173-176, 2019
102019
An auto loss compensation system for capacitive-coupled body channel communication
J Zhao, W Sun, J Mao, Y Huang, B Zhao, Y Liu, H Yang
IEEE transactions on biomedical circuits and systems 13 (4), 756-765, 2019
102019
C-rram: A fully input parallel charge-domain rram-based computing-in-memory design with high tolerance for rram variations
Y He, Y Huang, J Yue, W Sun, L Zhang, Y Liu
2022 IEEE International Symposium on Circuits and Systems (ISCAS), 3279-3283, 2022
62022
Accuracy optimization with the framework of non-volatile computing-in-memory systems
Y Huang, Y He, J Yue, H Yang, Y Liu
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (2), 518-529, 2021
52021
Sparsity-Aware Non-Volatile Computing-In-Memory Macro with Analog Switch Array and Low-Resolution Current-Mode ADC
Y Huang, Y He, J Yue, W Sun, H Yang, Y Liu
2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC), 684-689, 2022
32022
Investigation and modeling of multi-node body channel wireless power transfer
Y Huang, J Zhao, W Sun, H Yang, Y Liu
Sensors 20 (1), 156, 2019
32019
An Investigation on Inter-degeneration Effect in Body Channel Based Multi-node Wireless Power Transfer
Y Huang, J Zhao, W Sun, J Mao, H Yang, Y Liu
2018 IEEE Biomedical Circuits and Systems Conference (BioCAS), 1-4, 2018
32018
Bit-aware fault-tolerant hybrid retraining and remapping schemes for RRAM-based computing-in-memory systems
Y Huang, Y He, J Wang, J Yue, L Zhang, K Zou, H Yang, Y Liu
IEEE Transactions on Circuits and Systems II: Express Briefs 69 (7), 3144-3148, 2022
22022
An energy-efficient flexible capacitive pressure sensing system
Y Huang, Q Zhao, X Tang, F Su, N Sun, H Yang, Y Liu
2020 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2020
22020
A Heterogeneous Microprocessor for Intermittent AI Inference using Nonvolatile-SRAM-based Compute-In-Memory
T Wu, L Lei, Y He, W Jia, S Yu, Y Huang, H Jia, H Yang, Y Liu
IEEE Transactions on Circuits and Systems II: Express Briefs, 2023
12023
Pareto Frequency-Aware Power Side-Channel Countermeasure Exploration on CNN Systolic Array
L Zhang, D Mu, Y Huang, J Wang, Y He, Y Li, L Liu, K Zou, H Yang, Y Liu
IEEE Transactions on Circuits and Systems II: Express Briefs 70 (3), 1124-1128, 2022
12022
A non-volatile computing-in-memory framework with margin enhancement based CSA and offset reduction based ADC
Y Huang, Y He, J Yue, H Yang, Y Liu
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
12021
Mechanical strain and temperature aware design methodology for thin-film transistor based pseudo-CMOS logic array
W Sun, Y Huang, Q Zhao, F Qiao, TY Ho, X Guo, H Yang, Y Liu
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), 645-650, 2018
12018
Design of a Reliable Three-mode Redundancy Computer System
W Zhou, G Xu, C Lei, K Liu, Y Huang
3rd International Conference on Digital Economy and Computer Application …, 2023
2023
Weight and Multiply-Accumulation Sparsity-Aware Non-Volatile Computing-in-Memory System
Y Huang, Y Liu, L Cheng, K Zhu, K Liu
IEEE Transactions on Circuits and Systems II: Express Briefs, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–18