Follow
Xing Hu
Title
Cited by
Cited by
Year
Hygcn: A gcn accelerator with hybrid architecture
M Yan, L Deng, X Hu, L Liang, Y Feng, X Ye, Z Zhang, D Fan, Y Xie
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
2772020
Rethinking the performance comparison between SNNS and ANNS
L Deng, Y Wu, X Hu, L Liang, Y Ding, G Li, G Zhao, P Li, Y Xie
Neural networks 121, 294-307, 2020
2232020
Deepsniffer: A dnn model extraction framework based on learning architectural hints
X Hu, L Liang, S Li, L Deng, P Zuo, Y Ji, X Xie, Y Ding, C Liu, T Sherwood, ...
Proceedings of the Twenty-Fifth International Conference on Architectural …, 2020
150*2020
Tianjic: A unified and scalable chip bridging spike-based and continuous neural computation
L Deng, G Wang, G Li, S Li, L Liang, M Zhu, Y Wu, Z Yang, Z Zou, J Pei, ...
IEEE Journal of Solid-State Circuits 55 (8), 2228-2246, 2020
1112020
Scope: A stochastic computing engine for dram-based in-situ accelerator
S Li, AO Glova, X Hu, P Gu, D Niu, KT Malladi, H Zheng, B Brennan, Y Xie
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture …, 2018
1042018
Analysis and optimization of the memory hierarchy for graph processing workloads
A Basak, S Li, X Hu, SM Oh, X Xie, L Zhao, X Jiang, Y Xie
2019 IEEE International Symposium on High Performance Computer Architecture …, 2019
832019
Alleviating irregularity in graph analytics acceleration: A hardware/software co-design approach
M Yan, X Hu, S Li, A Basak, H Li, X Ma, I Akgun, Y Feng, P Gu, L Deng, ...
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
752019
RADAR: A 3D-ReRAM based DNA alignment accelerator architecture
W Huangfu, S Li, X Hu, Y Xie
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
742018
Fpsa: A full system stack solution for reconfigurable reram-based nn accelerator architecture
Y Ji, Y Zhang, X Xie, S Li, P Wang, X Hu, Y Zhang, Y Xie
Proceedings of the Twenty-Fourth International Conference on Architectural …, 2019
732019
Medal: Scalable dimm based near data processing accelerator for dna seeding algorithm
W Huangfu, X Li, S Li, X Hu, P Gu, Y Xie
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
692019
SpaceA: Sparse matrix vector multiplication on processing-in-memory accelerator
X Xie, Z Liang, P Gu, A Basak, L Deng, L Liang, X Hu, Y Xie
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
662021
Comprehensive snn compression using admm optimization and activity regularization
L Deng, Y Wu, Y Hu, L Liang, G Li, X Hu, Y Ding, P Li, Y Xie
IEEE transactions on neural networks and learning systems 34 (6), 2791-2805, 2021
592021
Crossbar-aware neural network pruning
L Liang, L Deng, Y Zeng, X Hu, Y Ji, X Ma, G Li, Y Xie
IEEE Access 6, 58324-58337, 2018
582018
Exploring adversarial attack in spiking neural networks with spike-compatible gradient
L Liang, X Hu, L Deng, Y Wu, G Li, Y Ding, P Li, Y Xie
IEEE transactions on neural networks and learning systems 34 (5), 2569-2583, 2021
552021
Dynamic sparse graph for efficient deep learning
L Liu, L Deng, X Hu, M Zhu, G Li, Y Ding, Y Xie
arXiv preprint arXiv:1810.00859, 2018
532018
Memory trojan attack on neural network accelerators
Y Zhao, X Hu, S Li, J Ye, L Deng, Y Ji, J Xu, D Wu, Y Xie
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2019
492019
Rubik: A hierarchical architecture for efficient graph neural network training
X Chen, Y Wang, X Xie, X Hu, A Basak, L Liang, M Yan, L Deng, Y Ding, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
44*2021
Die stacking is happening
X Hu, D Stow, Y Xie
IEEE micro 38 (1), 22-28, 2018
342018
Practical attacks on deep neural networks by memory trojaning
X Hu, Y Zhao, L Deng, L Liang, P Zuo, J Ye, Y Lin, Y Xie
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
302020
Duet: Boosting deep neural network efficiency on dual-module architecture
L Liu, Z Qu, L Deng, F Tu, S Li, X Hu, Z Gu, Y Ding, Y Xie
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
252020
The system can't perform the operation now. Try again later.
Articles 1–20