Follow
Eugene Kong
Eugene Kong
Verified email at nus.edu.sg
Title
Cited by
Cited by
Year
Nanocrystal-engineered thin CuO film photocatalyst for visible-light-driven photocatalytic degradation of organic pollutant in aqueous solution
R Katal, S Masudy-panah, EYJ Kong, ND Khiavi, MHDA Farahani, ...
Catalysis Today 340, 236-244, 2020
642020
Thermally stable multi-phase nickel-platinum stanogermanide contacts for germanium-tin channel MOSFETs
L Wang, G Han, S Su, Q Zhou, Y Yang, P Guo, W Wang, Y Tong, PSY Lim, ...
Electrochemical and Solid-State Letters 15 (6), H179, 2012
362012
Towards conformal damage-free doping with abrupt ultrashallow junction: Formation of Si monolayers and laser anneal as a novel doping technique for InGaAs nMOSFETs
EYJ Kong, P Guo, X Gong, B Liu, YC Yeo
IEEE Transactions on Electron Devices 61 (4), 1039-1046, 2014
352014
Towards high performance Ge1−xSnx and In0.7Ga0.3As CMOS: A novel common gate stack featuring sub-400 °C Si2H6 passivation, single TaN metal gate …
X Gong, S Su, B Liu, L Wang, W Wang, Y Yang, E Kong, B Cheng, G Han, ...
2012 Symposium on VLSI Technology (VLSIT), 99-100, 2012
322012
Sub-400 °C Si2H6 Passivation, HfO2 Gate Dielectric, and Single TaN Metal Gate: A Common Gate Stack Technology for In0.7Ga0.3As and Ge1-xSnx CMOS
X Gong, G Han, B Liu, L Wang, W Wang, Y Yang, EYJ Kong, S Su, C Xue, ...
IEEE transactions on electron devices 60 (5), 1640-1648, 2013
302013
Crystal structure and epitaxial relationship of Ni4InGaAs2 films formed on InGaAs by annealing
I Ivana, Y Lim Foo, X Zhang, Q Zhou, J Pan, E Kong, MH Samuel Owen, ...
Journal of Vacuum Science & Technology B 31 (1), 2013
302013
Ge0.95Sn0.05 Gate-All-Around p-Channel Metal-Oxide-Semiconductor Field-Effect Transistors with Sub-3 nm Nanowire Width
Y Kang, S Xu, K Han, EYJ Kong, Z Song, S Luo, A Kumar, C Wang, W Fan, ...
Nano letters 21 (13), 5555-5563, 2021
282021
Contact-resistance reduction for strained n-FinFETs with silicon–carbon source/drain and platinum-based silicide contacts featuring tellurium implantation and segregation
SM Koh, EYJ Kong, B Liu, CM Ng, GS Samudra, YC Yeo
IEEE transactions on electron devices 58 (11), 3852-3862, 2011
192011
Investigation of Pd–InGaAs for the formation of self-aligned source/drain contacts in InGaAs metal–oxide–semiconductor field-effect transistors
EYJ Kong, X Zhang, Q Zhou, J Pan, Z Zhang, YC Yeo
Solid-state electronics 85, 36-42, 2013
162013
Germanium multiple-gate field-effect transistor with in situ boron-doped raised source/drain
B Liu, C Zhan, Y Yang, R Cheng, P Guo, Q Zhou, EYJ Kong, N Daval, ...
IEEE transactions on electron devices 60 (7), 2135-2141, 2013
152013
Extraction of polarization-dependent damping constant for dynamic evaluation of ferroelectric films and devices
Y Li, K Han, Y Kang, EYJ Kong, X Gong
IEEE Electron Device Letters 39 (8), 1211-1214, 2018
132018
Junctionless Π‐gate transistor with indium gallium arsenide channel
HX Guo, X Zhang, Z Zhu, EYJ Kong, YC Yeo
Electronics letters 49 (6), 402-404, 2013
122013
First demonstration of complementary FinFETs and tunneling FinFETs co-integrated on a 200 mm GeSnOI substrate: A pathway towards future hybrid nano-electronics systems
K Han, Y Wu, YC Huang, S Xu, A Kumar, E Kong, Y Kang, J Zhang, ...
2019 Symposium on VLSI Technology, T182-T183, 2019
102019
GeSn p-FinFETs with Sub-10 nm Fin Width Realized on a 200 mm GeSnOI Substrate: Lowest SS of 63 mV/decade, Highest Gm,intof 900 µS/µm, and High-Field µeffof 275 cm …
D Lei, K Han, KH Lee, YC Huang, W Wang, S Yadav, A Kumar, Y Wu, ...
2018 IEEE Symposium on VLSI Technology, 197-198, 2018
102018
Fabrication and negative bias temperature instability (NBTI) study on Ge0. 97Sn0. 03 p-MOSFETs with Si2H6 passivation and HfO2 high-k and TaN metal gate
X Gong, S Su, B Liu, L Wang, W Wang, Y Yang, R Cheng, E Kong, ...
ECS Transactions 50 (9), 949, 2013
82013
Hybrid design using metal–oxide–semiconductor field-effect transistors and negative-capacitance field-effect transistors for analog circuit applications
K Han, C Sun, EYJ Kong, Y Wu, CH Heng, X Gong
IEEE Transactions on Electron Devices 68 (2), 846-852, 2020
72020
CoInGaAs as a novel self-aligned metallic source/drain material for implant-less In0. 53Ga0. 47As n-MOSFETs
EYJ Kong, S Subramanian, Q Zhou, J Pan, YC Yeo
Solid-state electronics 78, 62-67, 2012
72012
Ultra-thin-body In0.7Ga0.3As-on-nothing N-MOSFET with Pd-InGaAs source/drain contacts enabled by a new self-aligned cavity formation technology
X Gong, Z Zhu, E Kong, R Cheng, S Subramanian, KH Goh, YC Yeo
Proceedings of Technical Program of 2012 VLSI Technology, System and …, 2012
72012
P2S5/(NH4)2Sx-Based Sulfur Monolayer Doping for Source/Drain Extensions in n-Channel InGaAs FETs
S Subramanian, EYJ Kong, D Li, S Wicaksono, SF Yoon, YC Yeo
IEEE Transactions on Electron Devices 61 (8), 2767-2773, 2014
62014
Ge/Ni–InGaAs Solid-State Reaction for Contact Resistance Reduction on n+ In0. 53Ga0. 47As
HX Guo, EYJ Kong, X Zhang, YC Yeo
Japanese Journal of Applied Physics 51 (2S), 02BF06, 2012
62012
The system can't perform the operation now. Try again later.
Articles 1–20