Follow
Mengying Zhao
Mengying Zhao
Verified email at sdu.edu.cn
Title
Cited by
Cited by
Year
Fixing the broken time machine: Consistency-aware checkpointing for energy harvesting powered non-volatile processor
M Xie, M Zhao, C Pan, J Hu, Y Liu, CJ Xue
Proceedings of the 52nd annual design automation conference, 1-6, 2015
922015
Exploiting parallelism in I/O scheduling for access conflict minimization in flash-based solid state drives
C Gao, L Shi, M Zhao, CJ Xue, K Wu, EHM Sha
2014 30th Symposium on Mass Storage Systems and Technologies (MSST), 1-11, 2014
812014
Quality-retaining OLED dynamic voltage scaling for video streaming applications on mobile devices
X Chen, J Zheng, Y Chen, M Zhao, CJ Xue
Proceedings of the 49th Annual Design Automation Conference, 1000-1005, 2012
642012
SLC-enabled wear leveling for MLC PCM considering process variation
M Zhao, L Jiang, Y Zhang, CJ Xue
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
612014
Compiler-assisted STT-RAM-based hybrid cache for energy efficient embedded systems
Q Li, J Li, L Shi, M Zhao, CJ Xue, Y He
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (8 …, 2013
502013
Retention trimming for lifetime improvement of flash memory storage systems
L Shi, K Wu, M Zhao, CJ Xue, D Liu, EHM Sha
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
462015
Exploiting process variation for write performance improvement on NAND flash memory storage systems
L Shi, Y Di, M Zhao, CJ Xue, K Wu, EHM Sha
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (1), 334-337, 2015
462015
Software assisted non-volatile register reduction for energy harvesting based cyber-physical system
M Zhao, Q Li, M Xie, Y Liu, J Hu, CJ Xue
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), 567-572, 2015
452015
Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems
M Xie, M Zhao, C Pan, H Li, Y Liu, Y Zhang, CJ Xue, J Hu
Proceedings of the eleventh IEEE/ACM/ifip international conference on …, 2016
412016
Compiler-assisted preferred caching for embedded systems with STT-RAM based hybrid cache
Q Li, M Zhao, CJ Xue, Y He
Proceedings of the 13th ACM SIGPLAN/SIGBED International Conference on …, 2012
342012
Compiler directed automatic stack trimming for efficient non-volatile processors
Q Li, M Zhao, J Hu, Y Liu, Y He, CJ Xue
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
322015
Stack-size sensitive on-chip memory backup for self-powered nonvolatile processors
M Zhao, C Fu, Z Li, Q Li, M Xie, Y Liu, J Hu, Z Jia, CJ Xue
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
312017
ResiRCA: A resilient energy harvesting ReRAM crossbar-based accelerator for intelligent embedded processors
K Qiu, N Jao, M Zhao, CS Mishra, G Gudukbay, S Jose, J Sampson, ...
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
292020
Minimizing MLC PCM write energy for free through profiling-based state remapping
M Zhao, Y Xue, C Yang, CJ Xue
The 20th Asia and South Pacific Design Automation Conference, 502-507, 2015
292015
Leveling to the last mile: Near-zero-cost bit level wear leveling for PCM-based main memory
M Zhao, L Shi, C Yang, CJ Xue
2014 IEEE 32nd International Conference on Computer Design (ICCD), 16-21, 2014
292014
Fast-convergent federated learning with class-weighted aggregation
Z Ma, M Zhao, X Cai, Z Jia
Journal of Systems Architecture 117, 102125, 2021
252021
Re-tangle: A reram-based processing-in-memory architecture for transaction-based blockchain
Q Wang, T Wang, Z Shen, Z Jia, M Zhao, Z Shao
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
232019
Avoiding data inconsistency in energy harvesting powered embedded systems
M Xie, C Pan, M Zhao, Y Liu, CJ Xue, J Hu
ACM Transactions on Design Automation of Electronic Systems (TODAES) 23 (3 …, 2018
232018
Online OLED dynamic voltage scaling for video streaming applications on mobile devices
M Zhao, Y Chen, X Chen, CJ Xue
ACM SIGBED Review 10 (2), 18-18, 2013
232013
Data backup optimization for nonvolatile SRAM in energy harvesting sensor nodes
Y Liu, J Yue, H Li, Q Zhao, M Zhao, CJ Xue, G Sun, MF Chang, H Yang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
222017
The system can't perform the operation now. Try again later.
Articles 1–20