Follow
Fang Su
Fang Su
Huawei
Verified email at hisilicon.com
Title
Cited by
Cited by
Year
4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination …
Y Liu, Z Wang, A Lee, F Su, CP Lo, Z Yuan, CC Lin, Q Wei, Y Wang, ...
2016 IEEE International Solid-State Circuits Conference (ISSCC), 84-86, 2016
1132016
A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy harvesting IoE system featuring nonvolatile logics and processing-in-memory
F Su, WH Chen, L Xia, CP Lo, T Tang, Z Wang, KH Hsu, M Cheng, JY Li, ...
2017 Symposium on VLSI Technology, T260-T261, 2017
1012017
A ReRAM-based nonvolatile flip-flop with self-write-termination scheme for frequent-OFF fast-wake-up nonvolatile processors
A Lee, CP Lo, CC Lin, WH Chen, KH Hsu, Z Wang, F Su, Z Yuan, Q Wei, ...
IEEE Journal of Solid-State Circuits 52 (8), 2194-2207, 2017
542017
A Ferroelectric Nonvolatile Processor with 46 s System-Level Wake-up Time and 14 s Sleep Time for Energy Harvesting Applications
F Su, Y Liu, Y Wang, H Yang
IEEE Transactions on Circuits and Systems I: Regular Papers 64 (3), 596-607, 2016
522016
Nonvolatile processors: Why is it trending?
F Su, K Ma, X Li, T Wu, Y Liu, V Narayanan
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
432017
A ReRAM-based single-NVM nonvolatile flip-flop with reduced stress-time and write-power against wide distribution in write-time by using self-write-termination scheme for …
CP Lo, WH Chen, Z Wang, A Lee, KH Hsu, F Su, YC King, CJ Lin, Y Liu, ...
2016 IEEE international electron devices meeting (IEDM), 16.3. 1-16.3. 4, 2016
252016
A 130-nm ferroelectric nonvolatile system-on-chip with direct peripheral restore architecture for transient computing system
Y Liu, F Su, Y Yang, Z Wang, Y Wang, Z Li, X Li, R Yoshimura, T Naiki, ...
IEEE Journal of Solid-State Circuits 54 (3), 885-895, 2019
182019
A 130nm FeRAM-based parallel recovery nonvolatile SOC for normally-OFF operations with 3.9× faster running speed and 11× higher energy efficiency using fast power-on detection …
Z Wang, F Su, Y Wang, Z Li, X Li, R Yoshimura, T Naiki, T Tsuwa, T Saito, ...
2017 Symposium on VLSI Circuits, C336-C337, 2017
162017
A 65-nm ReRAM-Enabled Nonvolatile Processor With Time-Space Domain Adaption and Self-Write-Termination Achieving $> 4\times $ Faster Clock Frequency and $> 6\times $ Higher …
Z Wang, Y Liu, A Lee, F Su, CP Lo, Z Yuan, J Li, CC Lin, WH Chen, ...
IEEE Journal of Solid-State Circuits 52 (10), 2769-2785, 2017
122017
Design of nonvolatile processors and applications
F Su, Z Wang, J Li, MF Chang, Y Liu
2016 IFIP/IEEE International Conference on Very Large Scale Integration …, 2016
122016
A 2.2-GHz configurable direct digital frequency synthesizer based on LUT and rotation
Y Yang, X Shi, F Su, Z Wang, P Yang, H Yang, Y Liu
IEEE Transactions on Circuits and Systems I: Regular Papers 66 (5), 1970-1980, 2018
102018
AERIS: Area/Energy-efficient 1T2R ReRAM based processing-in-memory neural network system-on-a-chip
J Yue, Y Liu, F Su, S Li, Z Yuan, Z Wang, W Sun, X Li, H Yang
Proceedings of the 24th Asia and South Pacific Design Automation Conference …, 2019
82019
A task failure rate aware dual-channel solar power system for nonvolatile sensor nodes
F Su, Y Liu, X Sheng, HG Lee, N Chang, H Yang
ACM Transactions on Embedded Computing Systems (TECS) 18 (4), 1-21, 2019
32019
CNN-based pattern recognition on nonvolatile IoT platform for smart ultraviolet monitoring
J Li, Q Guo, F Su, Z Yuan, J Yue, J Hu, H Yang, Y Liu
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 888-893, 2017
22017
A 2.2-GHz Configurable Direct Digital Frequency Synthesizer Based on LUT and Rotation
The system can't perform the operation now. Try again later.
Articles 1–15