Follow
Zhiyu Zeng
Title
Cited by
Cited by
Year
Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation
Z Zeng, X Ye, Z Feng, P Li
Proceedings of the 47th Design Automation Conference, 831-836, 2010
752010
Parallel multigrid preconditioning on graphics processing units (GPUs) for robust power grid analysis
Z Feng, Z Zeng
proceedings of the 47th Design Automation Conference, 661-666, 2010
612010
Parallel on-chip power distribution network analysis on multi-core-multi-GPU platforms
Z Feng, Z Zeng, P Li
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 (10 …, 2010
532010
Locality-driven parallel power grid optimization
Z Zeng, P Li
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2009
262009
Fast static analysis of power grids: Algorithms and implementations
Z Zeng, T Xu, Z Feng, P Li
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 488-493, 2011
252011
Robust parallel preconditioned power grid simulation on GPU with adaptive runtime performance modeling and optimization
Z Feng, X Zhao, Z Zeng
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
232011
Locality-driven parallel static analysis for power delivery networks
Z Zeng, Z Feng, P Li, V Sarin
ACM Transactions on Design Automation of Electronic Systems (TODAES) 16 (3 …, 2011
152011
Machine-learning-driven matrix ordering for power grid analysis
G Cui, W Yu, X Li, Z Zeng, B Gu
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 984-987, 2019
122019
Parallel partitioning based on-chip power distribution network analysis using locality acceleration
Z Zeng, P Li, Z Feng
2009 10th International Symposium on Quality Electronic Design, 776-781, 2009
112009
DeepOHeat: operator learning-based ultra-fast thermal simulation in 3D-IC design
Z Liu, Y Li, J Hu, X Yu, S Shiau, X Ai, Z Zeng, Z Zhang
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
82023
IC power delivery: Voltage regulation and conversion, system-level cooptimization and technology implications
Z Zeng, S Lai, P Li
ACM Transactions on Design Automation of Electronic Systems (TODAES) 18 (2 …, 2013
62013
Efficient checking of power delivery integrity for power gating
Z Zeng, Z Feng, P Li
2011 12th International Symposium on Quality Electronic Design, 1-8, 2011
42011
Design and analysis of IC power delivery with on-chip voltage regulation
S Lai, P Li, Z Zeng
2012 IEEE International Conference on IC Design & Technology, 1-4, 2012
32012
Scalable analysis, verification and design of IC power delivery
Z Zeng
Texas A&M University, 2011
2011
Parallel Multigrid Preconditioning on Graphics Processing
Z Feng, Z Zeng
The system can't perform the operation now. Try again later.
Articles 1–15