Follow
Yinghai Lu
Title
Cited by
Cited by
Year
Llama 2: Open foundation and fine-tuned chat models
H Touvron, L Martin, K Stone, P Albert, A Almahairi, Y Babaei, ...
arXiv preprint arXiv:2307.09288, 2023
36022023
Deep learning recommendation model for personalization and recommendation systems
M Naumov, D Mudigere, HJM Shi, J Huang, N Sundaraman, J Park, ...
arXiv preprint arXiv:1906.00091, 2019
6112019
Statistical reliability analysis under process variation and aging effects
Y Lu, L Shang, H Zhou, H Zhu, F Yang, X Zeng
Proceedings of the 46th Annual Design Automation Conference, 514-519, 2009
1272009
An efficient algorithm for library-based cell-type selection in high-performance low-power designs
L Li, P Kang, Y Lu, H Zhou
Proceedings of the International Conference on Computer-Aided Design, 226-232, 2012
452012
First-generation inference accelerator deployment at facebook
M Anderson, B Chen, S Chen, S Deng, J Fix, M Gschwind, A Kalaiah, ...
arXiv preprint arXiv:2107.04140, 2021
312021
Post-routing layer assignment for double patterning
J Sun, Y Lu, H Zhou, X Zeng
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011), 793-798, 2011
202011
Optimal multi-domain clock skew scheduling
L Li, Y Lu, H Zhou
Proceedings of the 48th Design Automation Conference, 152-157, 2011
142011
Multicore parallel min-cost flow algorithm for cad applications
Y Lu, H Zhou, L Shang, X Zeng
Proceedings of the 46th Annual Design Automation Conference, 832-837, 2009
132009
Low power discrete voltage assignment under clock skew scheduling
L Li, J Sun, Y Lu, H Zhou, X Zeng
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011), 515-520, 2011
112011
Multicore parallelization of min-cost flow for CAD applications
Y Lu, H Zhou, L Shang, X Zeng
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
102010
Rethinking concurrency control for in-memory OLAP dbmss
P Pedreira, Y Lu, S Pershin, A Dutta, C Croswhite
2018 IEEE 34th International Conference on Data Engineering (ICDE), 1453-1464, 2018
62018
Optimal and efficient algorithms for multidomain clock skew scheduling
L Li, Y Lu, H Zhou
IEEE Transactions on very large scale Integration (VLSI) Systems 22 (9 …, 2013
52013
Retiming for soft error minimization under error-latching window constraints
Y Lu, H Zhou
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2013
52013
Efficient design space exploration for component-based system design
Y Lu, H Zhou
Proceedings of the International Conference on Computer-Aided Design, 466-472, 2012
52012
Post-routing layer assignment for double patterning with timing critical paths consideration
J Sun, Y Lu, H Zhou, C Yan, X Zeng
Integration 46 (2), 153-164, 2013
22013
Parallel cross-layer optimization of high-level synthesis and physical design
J Williamson, Y Lu, L Shang, H Zhou, X Zeng
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011), 467-472, 2011
22011
Actions Speak Louder than Words: Trillion-Parameter Sequential Transducers for Generative Recommendations
J Zhai, L Liao, X Liu, Y Wang, R Li, X Cao, L Gao, Z Gong, F Gu, M He, ...
arXiv preprint arXiv:2402.17152, 2024
12024
PyTorch 2: Faster Machine Learning Through Dynamic Python Bytecode Transformation and Graph Compilation
J Ansel, E Yang, H He, N Gimelshein, A Jain, M Voznesensky, B Bao, ...
12024
Optimal prescribed-domain clock skew scheduling
L Li, Y Lu, H Zhou
17th Asia and South Pacific Design Automation Conference, 523-527, 2012
12012
纳米工艺集成电路的统计可靠性分析以及并行优化算法
陆瀛海
复旦大学, 2010
12010
The system can't perform the operation now. Try again later.
Articles 1–20