Follow
Sungmin Huh
Title
Cited by
Cited by
Year
Actinic extreme ultraviolet mask inspection beyond
KA Goldberg, P Naulleau, I Mochi, EH Anderson, SB Rekawa, CD Kemp, ...
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2008
342008
A study of defects on EUV masks using blank inspection, patterned mask inspection, and wafer inspection
S Huh, L Ren, D Chan, S Wurm, K Goldberg, I Mochi, T Nakajima, ...
Extreme ultraviolet (EUV) lithography 7636, 182-188, 2010
272010
Improving the performance of the Actinic Inspection Tool with an optimized alignment procedure
I Mochi, KA Goldberg, P Naulleau, S Huh
Alternative Lithographic Technologies 7271, 590-600, 2009
272009
Printability and inspectability of programmed pit defects on the masks in EUV lithography
IY Kang, HS Seo, BS Ahn, DG Lee, D Kim, S Huh, CW Koh, B Cha, ...
Extreme Ultraviolet (EUV) Lithography 7636, 434-442, 2010
252010
Particle removal challenges of EUV patterned masks for the sub-22nm HP node
A Rastegar, S Eichenlaub, AJ Kadaksham, B Lee, M House, S Huh, ...
Extreme Ultraviolet (EUV) Lithography 7636, 210-220, 2010
232010
Photomask and method of manufacturing the same
JH Park, S Huh
US Patent 7,563,547, 2009
232009
Benchmarking EUV mask inspection beyond 0.25 NA
KA Goldberg, I Mochi, PP Naulleau, H Han, S Huh
Photomask Technology 2008 7122, 774-781, 2008
232008
Study of real defects on EUV blanks and a strategy for EUV mask inspection
S Huh, A Rastegar, S Wurm, K Goldberg, I Mochi, T Nakajima, ...
26th European Mask and Lithography Conference 7545, 226-233, 2010
202010
EUV pattern defect detection sensitivity based on aerial image linewidth measurements
KA Goldberg, I Mochi, P Naulleau, T Liang, PY Yan, S Huh
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2009
202009
Actinic imaging and evaluation of phase structures on extreme ultraviolet lithography masks
I Mochi, KA Goldberg, S Huh
Journal of Vacuum Science & Technology B, Nanotechnology and …, 2010
192010
Lifetime of EUVL masks as a function of degree of carbon contamination and capping materials
S Huh, H Kim, G Yoon, J Choi, HS Lee, DG Lee, B Ahn, HS Seo, D Kim, ...
Emerging Lithographic Technologies XII 6921, 329-337, 2008
192008
Carbon contamination topography analysis of EUV masks
YJ Fan, L Yankulin, P Thomas, C Mbanaso, A Antohe, R Garg, Y Wang, ...
Extreme Ultraviolet (EUV) Lithography 7636, 149-156, 2010
182010
Effects of mask absorber structures on the extreme ultraviolet lithography
HS Seo, DG Lee, H Kim, S Huh, BS Ahn, H Han, D Kim, SS Kim, HK Cho, ...
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2008
182008
Advanced extreme ultraviolet resist testing using the SEMATECH Berkeley 0.3-NA microfield exposure tool
PP Naulleau, CN Anderson, J Chiu, K Dean, P Denham, KA Goldberg, ...
Emerging Lithographic Technologies XII 6921, 1022-1032, 2008
182008
Collecting EUV mask images through focus by wavelength tuning
KA Goldberg, I Mochi, S Huh
Alternative Lithographic Technologies 7271, 969-976, 2009
162009
SEMATECH EUVL mask program status
H Yun, F Goodwin, S Huh, K Orvek, B Cha, A Rastegar, P Kearney
Photomask and Next-Generation Lithography Mask Technology XVI 7379, 95-102, 2009
152009
Mask defect verification using actinic inspection and defect mitigation technology
S Huh, P Kearney, S Wurm, F Goodwin, K Goldberg, I Mochi, ...
Alternative Lithographic Technologies 7271, 934-942, 2009
142009
Extreme ultraviolet photomask and methods and apparatuses for manufacturing the extreme ultraviolet photomask
I Jang, S Woo, S Huh
US Patent 8,048,595, 2011
132011
Method of manufacturing EUVL alternating phase-shift mask
S Huh, HB Kim, SW Choi, D Kim, C Jeon
US Patent 7,601,467, 2009
132009
EUV Actinic Defect Inspection and Defect Printability at sub-32 nm Half-pitch
S Huh, P Kearney, S Wurm, F Goodwin, H Han, K Goldberg, I Mochi, ...
25th European Mask and Lithography Conference, 1-7, 2009
122009
The system can't perform the operation now. Try again later.
Articles 1–20