Follow
Hehe Li
Hehe Li
Verified email at mails.tsinghua.edu.cn
Title
Cited by
Cited by
Year
Ambient energy harvesting nonvolatile processors: From circuit to system
Y Liu, Z Li, H Li, Y Wang, X Li, K Ma, S Li, MF Chang, S John, Y Xie, J Shu, ...
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
1592015
Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems
M Xie, M Zhao, C Pan, H Li, Y Liu, Y Zhang, CJ Xue, J Hu
Proceedings of the eleventh IEEE/ACM/ifip international conference on …, 2016
422016
NVPsim: A simulator for architecture explorations of nonvolatile processors
Y Gu, Y Liu, Y Wang, H Li, H Yang
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 147-152, 2016
332016
An energy efficient backup scheme with low inrush current for nonvolatile SRAM in energy harvesting sensor nodes
H Li, Y Liu, Q Zhao, Y Gu, X Sheng, G Sun, C Zhang, MF Chang, R Luo, ...
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), 7-12, 2015
282015
Data backup optimization for nonvolatile SRAM in energy harvesting sensor nodes
Y Liu, J Yue, H Li, Q Zhao, M Zhao, CJ Xue, G Sun, MF Chang, H Yang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
222017
From device to system: Cross-layer design exploration of racetrack memory
G Sun, C Zhang, H Li, Y Zhang, W Zhang, Y Gu, Y Sun, JO Klein, ...
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2015
182015
PATH: Performance-aware task scheduling for energy-harvesting nonvolatile processors
J Li, Y Liu, H Li, Z Yuan, C Fu, J Yue, X Feng, CJ Xue, J Hu, H Yang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (9 …, 2018
132018
Performance-aware task scheduling for energy harvesting nonvolatile processors considering power switching overhead
H Li, Y Liu, C Fu, CJ Xue, D Xiang, J Yue, J Li, D Zhang, J Hu, H Yang
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
132016
Noise Margin Modeling for Zero-Load TFT Circuits and Yield Estimation
Q Zhao, Y Liu, J Zhao, X Guo, H Li, H Yang
IEEE Transactions on Electron Devices 63 (2), 684-690, 2016
112016
Accurate personal ultraviolet dose estimation with multiple wearable sensors
J Li, Y Liu, H Li, R Hua, CJ Xue, HG Lee, H Yang
2016 IEEE 13th International Conference on Wearable and Implantable Body …, 2016
82016
Self-powered wearable sensor node: Challenges and opportunities
Y Liu, H Li, X Li, JC Xue, Y Xie, H Yang
2015 International Conference on Compilers, Architecture and Synthesis for …, 2015
52015
Sats: An ultra-low power time synchronization for solar energy harvesting wsns
T Wu, Y Liu, H Li, CJ Xue, HG Lee, H Yang
Proceedings of the 2016 International Symposium on Low Power Electronics and …, 2016
42016
Data backup apparatus and method
H Li, Y Liu, Q Zhao, R Luo, H Yang
US Patent 10,203,906, 2019
32019
CP-FPGA: Computation data-aware software/hardware co-design for nonvolatile FPGAs based on checkpointing techniques
Z Yuan, Y Liu, H Li, H Yang
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 569-574, 2016
32016
Using nonvolatile processors to reduce leakage in power management approaches
H Li, Y Liu, Y Wang, R Luo, H Yang
2014 IEEE International Conference on Electron Devices and Solid-State …, 2014
22014
Data write control apparatus and method
H Li, Y Liu, Q Zhao, R Luo, H Yang
US Patent 10,929,292, 2021
2021
Data write control apparatus and method
H Li, Y Liu, Q Zhao, R Luo, H Yang
US Patent 10,275,353, 2019
2019
The system can't perform the operation now. Try again later.
Articles 1–17